summaryrefslogtreecommitdiff
path: root/benchmarks
AgeCommit message (Expand)Author
2020-06-19gem_wsim: Fix calibration handlingTvrtko Ursulin
2020-06-19gem_wsim: Snippet of a workload extracted from carchaseTvrtko Ursulin
2020-06-19gem_wsim: Log max and active working set sizes in verbose modeTvrtko Ursulin
2020-06-19gem_wsim: Support scaling workload batch durationsTvrtko Ursulin
2020-06-19gem_wsim: Support random buffer sizesTvrtko Ursulin
2020-06-19gem_wsim: Move BO allocation to a helperTvrtko Ursulin
2020-06-19gem_wsim: Show workload timing statsTvrtko Ursulin
2020-06-19gem_wsim: Buffer objects working sets and complex dependenciesTvrtko Ursulin
2020-06-19gem_wsim: Rip out userspace balancingTvrtko Ursulin
2020-05-11Macros fixes: Removed unused & redundant macrosranjeet kumar
2020-04-28gem_wsim: Fix preempt period assertTvrtko Ursulin
2020-03-06gem_wsim: Mark contexts as non-persistentTvrtko Ursulin
2020-03-06gem_wsim: Fix calibration for special VCS engine nameTvrtko Ursulin
2020-03-05gem_wsim: Fix calibration for default and virtual engineTvrtko Ursulin
2020-02-14benchmarks/gem_wsim: Avoid labs(unsigned long)Ville Syrjälä
2020-02-04benchmarks: Drop gem_mmapChris Wilson
2020-01-30benchmarks/gem_busy: use gem_mmap__device_coherent()Ashutosh Dixit
2020-01-30benchmarks/gem_latency: change gtt to device coherent mappingZbigniew Kempczyński
2020-01-29i915: Drop prefault controlsChris Wilson
2020-01-24gem_wsim: Distinguish particular engines during calculating nop calibration.Anna Karas
2020-01-14i915/perf: Find the associated perf-type for a particular deviceChris Wilson
2019-12-03benchmarks: remove igt_skip_on_simulation()Swati Sharma
2019-11-12lib/igt_list: Update, clean-up and document igt_listArkadiusz Hiler
2019-10-26lib/i915: Use explicit iterator names in for_each_engine()Chris Wilson
2019-10-25benchmarks/gem_wsim: Cleanup register access on exitChris Wilson
2019-08-29lib/intel_mmio: add additional api for multiple devicesDaniel Mrzyglod
2019-08-28benchmarks: Use labs() for abs(long)Chris Wilson
2019-06-03benchmarks/gem_wsim: Tidy manual sizeof VLA calculationsChris Wilson
2019-05-24benchmarks/gem_wsim: Manually calculate VLA struct sizesChris Wilson
2019-05-23gem_wsim: Allow random seed controlTvrtko Ursulin
2019-05-23gem_wsim: Fix prng usageTvrtko Ursulin
2019-05-23gem_wsim: Support Icelake partsTvrtko Ursulin
2019-05-23gem_wsim: Discover enginesTvrtko Ursulin
2019-05-23gem_wsim: Consolidate engine assignments into helpersTvrtko Ursulin
2019-05-23gem_wsim: Allow RCS virtual engine with SSEU controlTvrtko Ursulin
2019-05-23gem_wsim: Per context SSEU controlTvrtko Ursulin
2019-05-23gem_wsim: Command line switch for specifying low slice count workloadsTvrtko Ursulin
2019-05-23gem_wsim: Infinite batch supportTvrtko Ursulin
2019-05-23gem_wsim: Some more example workloadsTvrtko Ursulin
2019-05-23gem_wsim: Engine bond commandTvrtko Ursulin
2019-05-23gem_wsim: Engine map load balance commandTvrtko Ursulin
2019-05-23gem_wsim: Compact int command parsing with a macroTvrtko Ursulin
2019-05-23gem_wsim: Save some lines by changing to implicit NULL checkingTvrtko Ursulin
2019-05-23gem_wsim: Engine map supportTvrtko Ursulin
2019-05-22gem_wsim: Extract str to engine lookupTvrtko Ursulin
2019-05-22gem_wsim: Submit fence supportTvrtko Ursulin
2019-05-22gem_wsim: More wsim_errTvrtko Ursulin
2019-05-22gem_wsim: Factor out common error handlingTvrtko Ursulin
2019-05-22gem_wsim: Use IGT uapi headersTvrtko Ursulin
2019-05-22wsim/media-bench: i915 balancingTvrtko Ursulin